summaryrefslogtreecommitdiff
path: root/include
diff options
context:
space:
mode:
authorDeepak Katragadda <dkatraga@codeaurora.org>2016-05-03 11:53:02 -0700
committerJeevan Shriram <jshriram@codeaurora.org>2016-05-10 13:20:08 -0700
commitc42d0bb4ffb40ede918484e4510fa75b05424058 (patch)
tree74a79d717cd07b236ddfb4f3be1e99be5d216502 /include
parent8516d6c280090c4579f72101c89e262b3dda5722 (diff)
clk: msm: clock-gcc-cobalt: Add new hw_ctl_clk type UFS clocks
Add new UFS clocks to support enabling/disabling the hardware dynamic gating for their corresponding branch clocks. CRs-Fixed: 1012355 Change-Id: I4836ad8a775b0ec0375e37d27fcbe380e661a7b2 Signed-off-by: Deepak Katragadda <dkatraga@codeaurora.org>
Diffstat (limited to 'include')
-rw-r--r--include/dt-bindings/clock/msm-clocks-cobalt.h4
1 files changed, 4 insertions, 0 deletions
diff --git a/include/dt-bindings/clock/msm-clocks-cobalt.h b/include/dt-bindings/clock/msm-clocks-cobalt.h
index eb4251cf5e06..99df0d53c312 100644
--- a/include/dt-bindings/clock/msm-clocks-cobalt.h
+++ b/include/dt-bindings/clock/msm-clocks-cobalt.h
@@ -228,14 +228,18 @@
#define clk_gcc_tsif_ref_clk 0x8f1ed2c2
#define clk_gcc_ufs_ahb_clk 0x1914bb84
#define clk_gcc_ufs_axi_clk 0x47c743a7
+#define clk_gcc_ufs_axi_hw_ctl_clk 0x69385b45
#define clk_gcc_ufs_ice_core_clk 0x310b0710
+#define clk_gcc_ufs_ice_core_hw_ctl_clk 0x84e15a5b
#define clk_gcc_ufs_phy_aux_clk 0x17acc8fb
+#define clk_gcc_ufs_phy_aux_hw_ctl_clk 0x7dbdb2e2
#define clk_gcc_ufs_rx_symbol_0_clk 0x7f43251c
#define clk_gcc_ufs_rx_symbol_1_clk 0x03182fde
#define clk_gcc_ufs_tx_symbol_0_clk 0x6a9f747a
#define clk_ufs_tx_symbol_0_clk 0xb3fcd0f7
#define clk_ufs_rx_symbol_0_clk 0x17a0f1cd
#define clk_gcc_ufs_unipro_core_clk 0x2daf7fd2
+#define clk_gcc_ufs_unipro_core_hw_ctl_clk 0x4a4e0f3d
#define clk_gcc_usb30_master_clk 0xb3b4e2cb
#define clk_gcc_usb30_mock_utmi_clk 0xa800b65a
#define clk_gcc_usb30_sleep_clk 0xd0b65c92